[eigen] STL Vectors and Alignment

[ Thread Index | Date Index | More lists.tuxfamily.org/eigen Archives ]


Hello,

Through the documentation which is awesomely cool :-)
I could not figure out the following cases:

First Case:

struct A{
    Eigen::Vector2d a;
};

std::vector<A> vec;


THIS is obviously wrong because there are alignement issues, described on the page.
Is it enough to fix it like this:

struct A{
    EIGEN_MAKE_ALIGNED_OPERATOR_NEW
    Vector2d a;

};
std::vector<A> vec;

Or is this going to fail alignment too and I need something like this:
std::vector<  A  ,   Eigen::aligned_allocator<  A > >

Second Case:


struct B{
    A a;
};
struct A{
    EIGEN_MAKE_ALIGNED_OPERATOR_NEW
    Eigen::Vector2d a;
};

std::vector<B> vec;

Or is this going to fail alignment too and I need something like this:
std::vector< B  ,   Eigen::aligned_allocator<  B > >




Thanks a lot for the quick reply =)!
Gabriel


Mail converted by MHonArc 2.6.19+ http://listengine.tuxfamily.org/